SORS: A New Era of Open-Source Hardware

Date: 19/Sep/2023 Time: 11:00

Place:

Sala d'Actes de la FiB and Zoom

Primary tabs

Objectives

Download the slides here

Abstract: Open-source software has been a critical enabler for tremendous innovation in the software ecosystem over the past three decades. Inspired by this success, open-source hardware involves making key parts of the hardware design ecosystem freely available for others to study, change, distribute, and ultimately use in fabricating their own semiconductor chips. Open-source hardware includes: (1) the high-level description of hardware components; (2) the low-level description of the process used to fabricate semiconductor chips; and (3) the electronic-design automation tools required to transform high-level hardware descriptions into low-level chip specifications. Unfortunately, open-source hardware has had a relatively bleak history and has yet to offer the same kind of transformative impact that we have seen with open-source software. At the same time, emerging applications in visual computing, data science, and machine learning are demanding more performance with less resources motivating an increasing need for accelerator-centric semiconductor chip design. We need semiconductor chip teaching, research, and startups to drive the next phase of software/hardware innovation, and semiconductor chip teaching, research, and startups need open-source hardware.

In this talk, I will briefly discuss some recent trends in open-source instruction set design, hardware components, process design kits, and electronic design automation which suggest we may be entering a new era of open-source hardware. I will then describe our work on PyMTL3, a new framework that leverages Python to create a domain-specific embedded language for concurrent-structural modeling and hardware design. PyMTL3 has the potential to improve the productivity and quality of open-source hardware design. I will briefly present some recent PyMTL3 research results on: (1) fast hardware simulation through framework/JIT co-design; (2) gradually typed hardware description languages; and (3) property-based testing of hardware generators. I will also describe how PyMTL3 has been used to tapeout both small and large chips through TSMC, Intel, GlobalFoundries, and SkyWater. My talk concludes with a call-to-action for the academic community to make open-source hardware a centerpiece of their activities. Academics have a practical and ethical motivation for using, developing, and promoting open-source hardware. We should be leaders in this new era!

 

Short Bio: I am a Professor of Electrical and Computer Engineering and a graduate field member of Computer Science at Cornell University. My research group is part of the Computer Systems Laboratory, and we largely work at the intersection of computer architecture, electronic design automation, and digital VLSI including projects on parallel programming frameworks, programmable accelerator design, interconnection networks, productive VLSI chip design methodologies, and architectures for future emerging technologies. Building prototype systems is an integral part of my research, as this is one of the best ways to validate assumptions, gain intuition about physical design issues, and provide platforms for future software research.

My research has been recognized with several awards including the ACM/IEEE MICRO Hall of Fame, a Cornell Engineering Research Excellence Award, an AFOSR Young Investigator Program award, an Intel Early Career Faculty Honor Program award, an NSF CAREER award, a DARPA Young Faculty Award, and an IEEE Micro Top Picks selection. My teaching has been recognized with the Ruth and Joel Spira Award for Excellence in Teaching, two Michael Tien '72 Excellence in Teaching Awards, and a James M. and Marsha D. McCormick Award for Outstanding Advising of First-Year Engineering Students.

Speakers

Speaker: Christopher Batten, Professor of Electrical and Computer Engineering and a graduate field member of Computer Science at Cornell University
Host: Miquel Moreto, High Performance Domain-Specific Architectures Associated Researcher, CS, BSC